CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 vhdl

搜索资源列表

  1. VHDL_交通灯系统

    0下载:
  2. 用VHDL语言编写,在MAXPLUS2下调试通过-VHDL language, debug through MAXPLUS2
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:114838
    • 提供者:自然风
  1. jtd

    0下载:
  2. VHDL实现交通灯-VHDL traffic lights
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1812
    • 提供者:何征
  1. TLC

    0下载:
  2. 用VHDL语言写的交通灯控制器,希望对大家有所帮助。-VHDL language with the traffic light controller, you would like to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2245
    • 提供者:zdh
  1. TrafficLights_daisy090701

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上实现对一个十字路口的交通灯的控制,包括4个红绿灯和4个2位的数码倒计时器。-The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board to realize a crossroads traffic lights control, inclu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:551957
    • 提供者:Daisy
  1. trafficlight

    0下载:
  2. 数字系统课程设计 基于FPGA的 VHDL编写 交通灯程序。-Digital System Course Design of VHDL-based FPGA program to prepare the traffic lights.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:463173
    • 提供者:zhoujie
  1. jtd

    0下载:
  2. 这是一个用VHDL编写的交通灯控制器,可以控制主干道和乡村公路的红绿灯-It is written in VHDL, a traffic light controller that can control the main roads and rural roads at the traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:290491
    • 提供者:蔡斌
  1. jiaotongdeng

    0下载:
  2. 交通灯控制系统的设计和实验报告 8255、8259、8253芯片交通灯-Traffic light control system design and experimental report 8255,8259,8253 chip traffic lights
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:8926
    • 提供者:叶倪
  1. jiaot

    0下载:
  2. 一个很简单的交通灯控制器,容易理解,在EP1C3T140C8上跑过-A very simple traffic light controller, easy to understand, in the EP1C3T140C8 ran
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:444012
    • 提供者:陈俊
  1. jiaotongdeng

    0下载:
  2. 交通灯1.设计一个十字路口的交通灯控制电路,要求 甲车道和乙车道两条交叉道路上的车辆交替 运行, 每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.黄灯亮时,要求每秒钟闪亮一次 。-jiaotongdeng
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:442045
    • 提供者:syh
  1. VHDL6

    0下载:
  2. 一个VHDL的交通灯程序,让你明白如何使用VHDL语言进行交通灯的设计-A VHDL program of traffic lights to let you know how to use the VHDL language design of traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1147
    • 提供者:wyb
  1. jtd2

    0下载:
  2. 基于VHDL状态机设计的智能交通控制灯 总体设计结构框图如图2所示,共有11个功能模块,包括控制东西方向交通灯的状态机和控制南北方向交通灯的状态机、计数器模块、键盘扫描模块、数字合成模块、三个分位模块、数码管显示模块、动态显示扫描模块。-VHDL-based state machine design of intelligent traffic control lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:457565
    • 提供者:周远航
  1. USB2_chip

    0下载:
  2. 交通灯控制 是很好的一个程序 大家支持一次啊,呵呵。-Traffic light control is a good a program we support a ah, huh, huh.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3840242
    • 提供者:jiatao
  1. Traffic_Light

    0下载:
  2. 根据城市的十字路口各部门和在不同时间的交通流量,智能交通灯控制方案,并给出基于VHDL语言,采用层次结构设计的QuartusⅡ模拟思想。-According to the different branches of city’s intersections and the traffic flow at different times, the program of intelligent traffic light controller based on VHDL is given and s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2847
    • 提供者:jimmy
  1. traffic

    0下载:
  2. 本实验模拟路口的红黄绿交通灯的变化过程,用LED灯表示交通灯,并在数码管上显示当前状态剩余时间。 -- 红灯持续时间为30秒,黄灯3秒,绿灯30秒-traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:191022
    • 提供者:zz
  1. ccsj

    0下载:
  2. 此文件 含有基于EDA技术的交通灯设计方案和源程序-This file contains the traffic lights based on EDA technology design and source code
  3. 所属分类:Project Design

    • 发布日期:2017-05-06
    • 文件大小:1266121
    • 提供者:范伟林
  1. jiaotongdeng

    0下载:
  2. VHDL--十字路口交通灯设计(一组红黄绿交通灯和倒计时设计)-design of luminaire for transportation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:77431
    • 提供者:雇主
  1. vhdl--of--traffic-light

    0下载:
  2. 十字路口的交通灯vhdl控制程序,其中包括分频器、交通灯控制器和主程序三部分。-Crossroads of traffic lights the vhdl control procedures, including the three parts of the divider, traffic light controller and main program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:5275
    • 提供者:仝侨
  1. traffic-light-vhdl-Quartus-II6.0

    0下载:
  2. 简单的交通灯vhdl程序 Quartus II6.0下的程序 包含图形仿真-easy traffic light vhdl Quartus II6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:208133
    • 提供者:睿宸
  1. jiaotongdeng

    0下载:
  2. 基于VHDL状态机的交通灯设计(已仿真下载实验板测试)(Traffic light design based on VHDL state machine (simulation download, experimental board test))
  3. 所属分类:VHDL/FPGA/Verilog

  1. jtd

    0下载:
  2. vhdl语言实现常用交通灯控制电路,用于控制某路口红绿黄三色信号灯(VHDL language is used to control the common traffic light control circuit to control the red, green and yellow three color signal lights at an intersection)
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:2071552
    • 提供者:额丶额
« 1 2 3 45 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com